How to call exported kernel module functions from another module?

From my research, it seems that those are the only three ways to handle this situation, and I’ve gotten each of them to work, so I think I’ll just pick my favorite out of those.

Leave a Comment