How to reference external sbt project from another sbt project?

You can do a source dependency on your project like that :

 lazy val core = RootProject(file("../CoreLibrary"))

 val main = Project(id = "application", base = file(".")).dependsOn(core) 

I have a working example with a multimodule play build : https://github.com/ahoy-jon/play2MultiModule/blob/master/playapp/project/Build.scala

But I think the proper way (it depends of your context) of doing it is to create a

 -> /project/
   -> Build.scala
 -> /ApplicationA
   -> /project
     -> /build.sbt
 -> /CoreLibrary
   -> /project
     -> /build.sbt

referencing the two projects and the dependencies between them.

Leave a Comment